当前位置: 首页 > news >正文

建设银行网站号wordpress 文章 标题

建设银行网站号,wordpress 文章 标题,网站用户运营,免费的oa办公系统排名好久没有用VHDL写东西了#xff0c;今天需要完成一个项目#xff0c;重新复习一下新建工程新建工程file--New Project Wizard, next, 选择存放的路径名字#xff08;projecttop-level 名字要相同#xff09;#xff0c;next#xff0c;File name名字同上#xff0c;…好久没有用VHDL写东西了今天需要完成一个项目重新复习一下新建工程新建工程 file--New Project Wizard, next, 选择存放的路径名字projecttop-level 名字要相同nextFile name名字同上next选择响应的硬件若只用于仿真不需要硬件的话就默认新建VHDL文件文件名同工程名编写代码文件保存在工程中。此次简单写了一个D触发器的代码为了验证新安装的软件是有有问题。-- D trigger achievementlibrary ieee; use ieee.std_logic_1164.all;ENTITY Dtrigger IS PORT(D, clk : IN STD_LOGIC;Q : OUT STD_LOGIC); END ENTITY Dtrigger;ARCHITECTURE one of Dtrigger is SIGNAL sig_save : STD_LOGIC;BEGIN PROCESS(clk)BEGIN if clkevent and clk1 then-- rising_edge(clk) thensig_save D;end if;END PROCESS;Q sig_save; END ARCHITECTURE one; 编译点击编译按钮若成功说明软件安装过程没有问题失败的话根据提示一一寻找解决问题的办法。新建波形文件为了进一步看一下我们这个器件是否成功需要查看输入输出波形是否正确。a. 新建file--new--Verfication/Debugging Files--University Program VWFb. 设置在Edit中选择End Time设置仿真终止时间默认为1us根据需要改一般改大点c. 在弹出的跟进代码对应的这个波形文件需要一些配置我安装的Quartus默认使用的点击clk, d,选择上面的波形设置好波形在Simulation-Options- 默认的为ModelSim,如果你的电脑没有安装ModelSim, 会无法使用。可以选Quartus II simulator出现问题ModelSim-Altera was not found. Please install ModelSim-Altera which is included with the Quartus II installer, or use the Quartus II Simulator instead by selecting Simulation Options Quartus II Simulator选择Simulation Options Quartus II Simulator点击运行生成运行后的文件结果文件在时钟的上升沿变化信号。查看硬件原理图Tools下拉菜单里的Netlist Viewers–RTL Viewer可以查看VHDL描述的硬件电路有一个问题Quartus II默认使用的是ModelSim-AlteraSimulation Waveform Editor默认为ModelSim。解决这个问题a. 在Simulation Waveform Editor中设置Simulation-Options- Quartus II simulatoruse the Quartus II Simulator instead by selecting Simulation Options Quartus II Simulatorb.设置EDA Simulation Tool. 在Quartus中Assignments-Simulation-Tool name中选择ModelSim-Apply, 必须要点击Apply, 点击OK不会生效。 系统默认的为ModelSim-Altera。原因就在这里
http://www.w-s-a.com/news/513118/

相关文章:

  • 快速建站的公司wordpress 元数据定义
  • 网站seo分析工具网站标题用空格 逗号影响seo
  • 基金项目实验室信息网站建设wordpress文章新窗口打开
  • php网站开发就业前景做网站推荐源创网络
  • wordpress 8211西安网站优化维护
  • 泰安招聘网站有哪些wordpress 回复提醒
  • 网站服务器不稳定怎么打开网页企业营销策划心得体会
  • 自己做视频网站会不会追究版权企业商城网站建设方案
  • 烟台网站制作计划网站做seo的好处
  • 网站首页轮播官方网站下载拼多多
  • 罗庄区建设局网站自己做网站推广产品
  • 优秀flash网站欣赏苏州吴中区建设局网站
  • 网站添加wordpress博客网上商城购物系统论文
  • 上海市建设安全协会网站王夑晟企业网站建设需要做些什么
  • 网站app 开发辽宁建设工程信息网官网新网站是哪个
  • 厦门建设企业网站建设wordpress添加形式
  • 建立什么网站可以赚钱室内设计效果图qq群
  • 厦门网站设计大概多少钱服务公司沈傲芳
  • 如何起手做网站项目百度站长app
  • dede 购物网站wordpress主题超限
  • 做啊录音网站WordPress音乐悬浮插件
  • 安徽建设厅证书查询网网站网站策划与运营课程认知
  • wordpress证书关闭重庆seo优化效果好
  • 直播网站建设模板网站活动怎么做的
  • 医院网站建设网站网站开发工资高嘛
  • 个人网站备案内容写什么做网站是不是涉及很多语言职
  • 怎么用手机做一个网站门户网站建设工作的自查报告
  • 网站搭建怎么收费浙江建设集团网站
  • 建网站怎么赚钱免费引流软件下载
  • 自建网站服务器备案做基础销量的网站