默认网站 域名 网站绑定,平面设计公司企业logo设计,呼伦贝尔网站设计,wordpress个人博客自媒体DigitalJS
https://github.com/tilk/digitaljs这个项目是一个用Javascript实现的数字电路模拟器。 它旨在模拟由硬件设计工具合成的电路 像 Yosys#xff08;这里是 Github 存储库#xff09;#xff0c;它有一个配套项目 yosys2digitaljs#xff0c;它可以转换 Yosys 将文…DigitalJS
https://github.com/tilk/digitaljs这个项目是一个用Javascript实现的数字电路模拟器。 它旨在模拟由硬件设计工具合成的电路 像 Yosys这里是 Github 存储库它有一个配套项目 yosys2digitaljs它可以转换 Yosys 将文件输出到 DigitalJS。它也旨在成为一种教学工具 因此可读性和易于检查是 项目。
创建文件
SystemVerilog 提供了更多高级功能尤其是在验证方面使其在现代设计流程中更具优势。SystemVerilog 向后兼容 Verilog这意味着现有的 Verilog 代码可以在 SystemVerilog 环境中运行而无需大幅修改。 创建一个sv文件
编写代码
module adder (input logic [3:0] a, // 4-bit 输入 a logic用于替代 reg寄存器过程赋值和 wire 线网连续赋值input logic [3:0] b, // 4-bit 输入 boutput logic [4:0] sum // 5-bit 输出 sum考虑到可能的进位
);assign sum a b; // 进行加法运算
endmoduleRun 加载示例 edaplayground使用教程
https://github.com/edaplayground/eda-playgroundhttps://www.edaplayground.com/
注册账户 Register https://www.edaplayground.com/register经过测试学生邮箱是可以用的 运行D触发器示例 可更换仿真工具 波形查看和分析 如果想使用EPWave需要再testbench中加入类似如下的控制语句
initial begin$dumpfile(dump.vcd); $dumpvars;end